Development of domestic CAD systems for microelectronics design based on the Delta Design platform

封面

如何引用文章

全文:

开放存取 开放存取
受限制的访问 ##reader.subscriptionAccessGranted##
受限制的访问 订阅或者付费存取

详细

The article considers the development of the Delta Design Simtera IC software package from EREMEX. Information about the functionality and features of this package is provided.

全文:

受限制的访问

作者简介

N. Malyshev

ООО «ЭРЕМЕКС»

编辑信件的主要联系方式.
Email: malyshev.n@eremex.ru

ведущий разработчик

俄罗斯联邦

参考

  1. Попов С. DeltaCAM – инструмент подготовки производственных файлов // Управление и производство. 2021. Вып. 9. С. 22–28. https://sapr.ru/article/26299
  2. Смирнов А., Гимеин А. Схемотехническое моделирование в Delta Design SimOne. Ч. 2 // Современная Электроника. 2022. Вып. 9. С. 34–37.
  3. Малышев Н., Поляков А. Библиотеки HDL-тестов для систем моделирования цифровой аппаратуры. Отечественная САПР проектирования микроэлектроники. Ч. 1 // Современная Электроника. 2023. Вып. 9. С. 12–15.
  4. https://t.me/+ZnqV7hCNviAxYzMy
  5. Hansen M.C. Verilog Behavioral description of the TI 74181 Circuit. https://web.eecs.umich.edu/~jhayes/iscas.restore/74181b.v
  6. Шумилин С. ПЛИС М3, ОКР «Бриллиант». https://www.milandr.ru/upload/iblock/60c/60cdbff6fae9ad208ada6109a1f3cd9f.pdf
  7. Лузин С.Ю. Математическое обеспечение синтеза минимальных форм представления переключательных функций для САПР БИС. Санкт-Петербург, 2001, ОАО «НИИ «ЗВЕЗДА», Диссертация на соискание ученой степени доктора технических наук, на правах рукописи.
  8. Gao M., Jiang J-H., Jiang Y., Li Y., Mishchenko A., Sinha S., Villa T., Brayton R. Optimization of Multi-Valued Multi-Level Networks, In Proc, 32nd IEEE International Symposium on Multiple-Valued Logic (ISMVL’02), Boston, MA, USA, May 2002. P. 168–177. Доступно по ссылке: https://people.eecs.berkeley.edu/~alanmi/publications/2002/ismvl02.pdf
  9. https://github.com/The-OpenROAD-Project/OpenLane
  10. https://github.com/google/skywater-pdk

补充文件

附件文件
动作
1. JATS XML
2. Fig. 1. Library component creation windows: a - D-trigger notation; b - Verilog model of D-trigger

下载 (1MB)
3. Fig. 2. Workspace for working with a digital project presented in schematic form. From left to right - project panel, built-in component library, circuit editor, oscilloscope with the results of project modeling

下载 (2MB)
4. Fig. 3. Result obtained when synthesizing Verilog project by Liberty-library in Delta Design Simtera IC

下载 (2MB)
5. Fig. 4. Warning of the synthesizability check system

下载 (93KB)
6. Fig. 5. Display of the GDSII component of the SkyWater PDK in the Delta Design Simera IC

下载 (440KB)
7. Fig. 6. Displaying the GDSII component of the SkyWater PDK in OpenLane

下载 (563KB)
8. Fig. 7. Graphical editor for preparing constraint files in Delta Design Simtera IC

下载 (1MB)

版权所有 © Malyshev N., 2024

##common.cookie##