Развитие отечественных САПР проектирования микроэлектроники на платформе Delta Design

Обложка

Цитировать

Полный текст

Открытый доступ Открытый доступ
Доступ закрыт Доступ предоставлен
Доступ закрыт Доступ платный или только для подписчиков

Аннотация

Рассмотрено развитие программного пакета Delta Design Simtera IC компании «ЭРЕМЕКС». Приведена информация о функциональных возможностях и особенностях данного пакета.

Полный текст

Доступ закрыт

Об авторах

Н. Малышев

ООО «ЭРЕМЕКС»

Автор, ответственный за переписку.
Email: malyshev.n@eremex.ru

ведущий разработчик

Россия

Список литературы

  1. Попов С. DeltaCAM – инструмент подготовки производственных файлов // Управление и производство. 2021. Вып. 9. С. 22–28. https://sapr.ru/article/26299
  2. Смирнов А., Гимеин А. Схемотехническое моделирование в Delta Design SimOne. Ч. 2 // Современная Электроника. 2022. Вып. 9. С. 34–37.
  3. Малышев Н., Поляков А. Библиотеки HDL-тестов для систем моделирования цифровой аппаратуры. Отечественная САПР проектирования микроэлектроники. Ч. 1 // Современная Электроника. 2023. Вып. 9. С. 12–15.
  4. https://t.me/+ZnqV7hCNviAxYzMy
  5. Hansen M.C. Verilog Behavioral description of the TI 74181 Circuit. https://web.eecs.umich.edu/~jhayes/iscas.restore/74181b.v
  6. Шумилин С. ПЛИС М3, ОКР «Бриллиант». https://www.milandr.ru/upload/iblock/60c/60cdbff6fae9ad208ada6109a1f3cd9f.pdf
  7. Лузин С.Ю. Математическое обеспечение синтеза минимальных форм представления переключательных функций для САПР БИС. Санкт-Петербург, 2001, ОАО «НИИ «ЗВЕЗДА», Диссертация на соискание ученой степени доктора технических наук, на правах рукописи.
  8. Gao M., Jiang J-H., Jiang Y., Li Y., Mishchenko A., Sinha S., Villa T., Brayton R. Optimization of Multi-Valued Multi-Level Networks, In Proc, 32nd IEEE International Symposium on Multiple-Valued Logic (ISMVL’02), Boston, MA, USA, May 2002. P. 168–177. Доступно по ссылке: https://people.eecs.berkeley.edu/~alanmi/publications/2002/ismvl02.pdf
  9. https://github.com/The-OpenROAD-Project/OpenLane
  10. https://github.com/google/skywater-pdk

Дополнительные файлы

Доп. файлы
Действие
1. JATS XML
2. Рис. 1. Окна создания библиотечного компонента: а – условно-графическое обозначение D-триггера; б – Verilog-модель D-триггера

3. Рис. 2. Рабочее пространство для работы с цифровым проектом, представленным в схемотехническом виде. Слева направо – панель проектов, встроенная библиотека компонентов, схемотехнический редактор, осциллограф с результатами моделирования проекта

4. Рис. 3. Результат, полученный при синтезе Verilog-проекта по Liberty-библиотеке в Delta Design Simtera IC

5. Рис. 4. Предупреждение системы проверки на синтезируемость

Скачать (93KB)
6. Рис. 5. Отображение GDSII-компонента SkyWater PDK в Delta Design Simera IC

Скачать (440KB)
7. Рис. 6. Отображение GDSII-компонента SkyWater PDK в OpenLane

Скачать (563KB)
8. Рис. 7. Графический редактор подготовки файлов ограничений в Delta Design Simtera IC


© Малышев Н., 2024

Данный сайт использует cookie-файлы

Продолжая использовать наш сайт, вы даете согласие на обработку файлов cookie, которые обеспечивают правильную работу сайта.

О куки-файлах